top of page
  • jenniferg17

2023 Biggest Semiconductor Inventions & Discoveries



The year 2023 has been nothing short of monumental and as it comes to an end, we’ve gathered the stories and innovations that marked the year. From progressive thermal transistors to the quest for the fastest semiconductor, in this blog post, each narrative unfolds the developments that propel this industry forward.



The Advent of Thermal Transistors

A groundbreaking achievement emerged from UCLA as their team introduced a revolutionary thermal transistor. This innovative solid-state device utilizes an electric field to precisely control the movement of heat in semiconductor devices, presenting unparalleled potential for atomic-level design and molecular engineering in computer chip heat management. The transistor's field effect, coupled with its fully solid-state composition, showcases high performance and compatibility with semiconductor manufacturing processes. Achieving a record-breaking switching speed exceeding 1 megahertz, the transistor offers a remarkable 1,300% tunability in thermal conductance, surpassing previous limitations in thermal conductivity tuning. The UCLA team's proof-of-concept design employs a self-assembled molecular interface, demonstrating the controlled movement of heat through precise modulation of thermal resistance via an electric field. Supported by grants from the National Institutes of Health, the Alfred P. Sloan Foundation and the National Science Foundation, this scalable technology innovation not only marks a significant leap in chip manufacturing and performance but also holds promise for advancing our understanding of heat management in living cells at the molecular level. The research showcases a collaborative effort by UCLA researchers, including Man Li, Huan Wu, Erin Avery, Zihao Qin, Dominic Goronzy, Huu Duy Nguyen and Tianhan Liu, all affiliated with the California NanoSystems Institute. Technical support was provided by the UCLA Nanolab and CNSI, with computational resources from the UCLA Institute for Digital Research and Education and Advanced Cyberinfrastructure Coordination Ecosystem.



U.S. Universities and Semiconductor Education

As the global semiconductor industry grapples with a shortage of young engineers, Intel acknowledges the immense challenge of retaining electrical engineering students. With the CHIPS and Science Act aimed at a resurgence in U.S. chip manufacturing, universities are seeking to meet the demand for skilled engineers and technicians. The U.S., manufacturing only 12% of global chips, witnesses a surge in job openings, necessitating a workforce boost. Intel positions itself as an educational catalyst, expanding fabs and allocating funds to community colleges. Industry giants like Samsung and Silicon Labs are investing in community colleges, while Midwest universities, like Purdue and the University of Illinois Urbana-Champaign, are enhancing their semiconductor-focused programs. Intel's $50 million pledge to Ohio institutions furthers the commitment to upgrade education and broaden semiconductor-related skills beyond electrical engineering majors.



EUV Lithography Upgrades: Inside the Moore’s Law Machine

In a significant industry development, ASML has shipped its inaugural High-NA EUV scanner, the Twinscan EXE:5000, to Intel as part of an eagerly anticipated collaboration initiated in 2018. This milestone marks the next phase for Intel, enabling experimentation with High-NA EUV technology before deploying the commercial-grade Twinscan EXE:5200 tool for high-volume manufacturing (HVM) in 2025. The High-NA scanner, with its 0.55 NA lens, promises an 8nm resolution, crucial for advanced chip production beyond 3nm. As the first to embrace these cutting-edge tools, Intel gains a strategic advantage in setting industry standards, potentially outpacing rivals Samsung Foundry and TSMC. The High-NA scanners' unique features, including halved reticle size, necessitate substantial infrastructure investments, making Intel's early adoption a crucial preparatory step for real-world production. ASML's commitment to producing 20 High-NA EUV litho tools annually by 2027–2028 underscores the industry's trajectory towards widespread adoption, with Intel leading the charge.


A Chapter in AI Chip Design Resolved

A contentious research paper from Google, asserting the prowess of AI in chip creation, undergoes intense scrutiny. The focus lies on Google's claim that artificial intelligence techniques expedited the floor-planning of its AI chip, Tensor Processing Unit (TPU) v5, in under six hours, surpassing human experts. Nature, a reputable scientific publication, issues an editor's note, alerting readers that the performance claims are under investigation. Originally published in 2021, the paper outlines Google's use of AI to construct its TPU, utilized in cloud data centers for applications like Search, Maps and Google Workspace. The chip in question, TPU v5, is now prompting controversy. Google asserts the objective was not to replace human designers but to showcase collaborative AI-driven chip design. The subsequent iteration, TPU-v5e, competes with Nvidia's H100 GPU and succeeds TPUv4 in training large language models. Internal doubts led to the termination of an author, Satrajit Chatterjee, who sued Google for wrongful termination. Despite peer review claims, independent researchers cast doubt on the research's validity and criticism mounts as Google discloses limited data on GitHub. The research introduces a framework using deep reinforcement learning for chip floor planning, strategically placing large circuit blocks. While challenges persist, the inquiry underscores the importance of transparency in advancing technological innovation.



Intel's Back-Side Power Delivery

Intel is cautiously introducing a new technology, PowerVia, alongside the RibbonFET transistor in its upcoming computer chips. PowerVia employs backside power delivery, placing power interconnects beneath the silicon, resulting in a 6% frequency boost, more compact designs, and 30% less power loss. Tests demonstrate no increase in cost or reduction in reliability. The manufacturing process involves drilling nano-TSVs, bonding a carrier wafer and building power interconnects on the chip's underside. Despite the added complexity, Intel is achieving cost savings by optimizing the M0 layer in the absence of power interconnects. PowerVia, integrated successfully into Intel's 4 processes, paves the way for the 20A node with RibbonFET transistors in 2024, potentially outpacing competitors like TSMC and Samsung in nanosheet transistors and backside power delivery.


Integrating Lasers with Silicon: 4 Practical Approaches

Photonics integrated circuits (PICs) have gained widespread use, deployed in applications like high-speed optical transceivers and lidars. However, integrating lasers onto silicon photonic chips, crucial for certain applications, has presented challenges due to silicon's limited light emission efficiency. Imec, a Belgium-based nanoelectronics R&D center, is leading this research by employing advanced techniques. In flip-chip processing, laser dies, meticulously aligned with submicrometer precision, are individually transferred and bonded to silicon photonics wafers. Notably, a 2021 wafer-scale silicon-photonics process achieved up to 80% coupling efficiency, with some instances at a still impressive 60%, showcasing the effectiveness of this approach. Microtransfer printing, another strategy, utilizes adhesive or molecular bonding, providing faster assembly and evanescent coupling, with potential applications in high-throughput scenarios demanding the integration of numerous III-V components. Die-to-wafer bonding, a form of III-V-to-silicon-wafer bonding, allows for the parallel processing of multiple devices, demonstrating efficiency in optical interfaces. Monolithic integration, particularly nano ridge engineering (NRE), represents a cutting-edge approach where defects are confined using aspect-ratio trapping in trenches, aiming for defect-free III-V material growth on silicon. Imec's NRE technique has shown promise in producing high-quality GaAs-based photodiodes on a 300-mm silicon pilot line. While flip-chip processing offers simplicity and flexibility, it is limited by its sequential nature, impacting manufacturing throughput. In contrast, micro-transfer printing and die-to-wafer bonding, although requiring higher setup costs, exhibit potential for higher throughput and cost efficiency in applications demanding multiple lasers per photonic IC. Monolithic integration, especially NRE, stands as a promising future direction, addressing fundamental material challenges for defect-free growth directly on silicon. As these strategies progress, they are expected to coexist, serving diverse application needs in the field of silicon photonics.



Photon Fusion: Silicon’s Full Potential

Researchers at Stanford University's Congreve Lab are pioneering color-changing technology with a focus on upconversion, a process that converts two low-energy photons into a single higher-energy photon. Utilizing the triplet-triplet annihilation method, involving heavy-metal-containing triplet sensitizers like palladium, iridium or platinum, and annihilator molecules such as rubrene, the team has achieved efficient emission of higher-energy photons. This process has been applied to enhance solar energy efficiency by converting unabsorbed wavelengths into colors matching the bandgap of silicon solar cells, potentially increasing efficiency beyond the current 15–20% range. Furthermore, the researchers have adapted upconversion for 3D printing, allowing precise curing of resin at specific points using low-power lasers, presenting new possibilities for additive manufacturing. This transformative technology not only addresses challenges in solar energy and 3D printing but also holds promise for diverse applications, including deep-tissue imaging, optogenetics, night-vision systems and anti-counterfeiting schemes. Applications like passive night-vision systems and robust anti-counterfeiting schemes involve applying a thin coating of upconversion materials on a surface, similar to the technology used with solar cells. In the field of 3D printing, the low-power lasers used enable the rapid printing of objects at the nanoscale in parallel, overcoming previous limitations. Researchers have also explored the use of upconversion for near-infrared beams, penetrating deep into living tissue for deep-tissue imaging, optogenetics and local chemical reaction applications. The Congreve Lab's work showcases the diverse and transformative possibilities of upconversion technology across various industries, marking just the beginning of its potential applications.


A Penny-Size Breakthrough

Physicists at the University of Erlangen-Nuremberg achieved a substantial advancement in chip-sized electron accelerators, utilizing dielectric materials to create accelerators on a chip for potential applications in skin cancer treatment and compact laser sources. The team fabricated a 225-nanometer-wide channel with up to 0.5 millimeters in length, demonstrating a remarkable 43% boost in energy for electrons using precisely timed infrared laser pulses and 733 silicon pillars, each 2 micrometers high. This offers a significant leap in the field of accelerator physics, showcasing a nanophotonic electron accelerator that can be built using standard cleanroom techniques such as electron beam lithography. The researchers aim to explore applications in synchrotron light sources, free electron lasers, and searches for lightweight dark matter, with potential ventures into startup companies for small-scale accelerator development.


The Need for Speed

Scientists have identified what they claim to be the fastest and most efficient semiconductor to date. Re6Se8Cl2 is composed of rhenium, selenium and chlorine, forming clusters known as "superatoms." These superatoms create a unique structure where excitons, bound states of electrons and electron holes, bind together with phonons instead of scattering, giving rise to new quasiparticles called acoustic exciton-polarons. Let’s have a look at some key findings about this novel super-semiconductor.

- Re6Se8Cl2 showcased sustained room-temperature ballistic exciton movement, with acoustic exciton-polarons moving twice as fast as electrons in silicon.

- Unlike traditional semiconductors, where electrons scatter over short distances, exciton-polarons in Re6Se8Cl2 crossed several micrometers over a nanosecond, demonstrating remarkable performance.

- The semiconductor operates based on light control rather than electric current, suggesting processing speeds reaching femtoseconds, significantly faster than current gigahertz electronics.

- Re6Se8Cl2 is a van der Waals material, part of a family of superatomic semiconductors. Despite its rarity due to the presence of rhenium, the researchers anticipate discovering earth-abundant alternatives with similar transport properties.

- Acoustic exciton-polarons could offer a general approach for achieving long-range energy flow in unconventional materials, expanding their applications beyond traditional semiconductor uses.

- Potential devices, such as "ballistic transistors," utilizing excitons instead of electrons, could serve as highly efficient light detectors or find applications in computing to enhance energy efficiency and performance.

The researchers highlight that while excitons carry information and energy like electrons, they may not be directly compatible with current semiconductor industry hardware. Nevertheless, these findings open new avenues for designing advanced semiconductor devices with unique capabilities.


The Question of Semiconductor Sustainability: Gallium Nitride vs. Silicon Carbide

The landscape of power electronics is undergoing a seismic shift, propelled by the ascendancy of gallium nitride (GaN) and silicon carbide (SiC) semiconductors over traditional silicon technologies. GaN, based in the field of compound semiconductors, initiated a revolutionary transformation in lighting around 2001, rapidly leading to over 50 percent of the global lighting market with gallium-nitride-based LEDs. This transition not only reduced lighting electricity consumption by 30 to 40 percent but also set the stage for a broader revolution in power electronics. GaN and SiC, heralded for their superior efficiency and functionality, are displacing silicon in critical power electronics applications, promising substantial environmental benefits through reduced energy waste. The competitive dynamics between GaN and SiC unfold across diverse domains—from electric vehicle inverters and solar-power microinverters to 5G base stations and military radar systems. While SiC currently dominates in certain high-voltage applications, GaN's higher switching speeds position it as a potent contender in microwave regimes and scenarios where efficiency and size are paramount. As the competition evolves, the collective impact of GaN and SiC is projected to yield over 1 billion metric tons of greenhouse gas savings in the United States and India by 2041, solidifying their role in the ongoing struggle against climate change.

 

As these stories are shaping the semiconductor industry, they are also highlighting the trajectory it is anticipated to take in the years ahead. The boundaries of what's possible are continually pushed, and the only constant is the incessant march toward innovations. At McKinsey Electronics, we reflect on this past year’s milestones and eagerly anticipate what the future will hold. As we remain dedicated to providing authentic electronic components and staying ahead of the latest semiconductor technologies, we are also extending our expertise in circuit design advisory services to make your projects come to life. Contact us today!

 

bottom of page